Calibre Improving OPC model accuracy of dry resist for low k1 EUV patterning

2024-03-19T07:08:22.000-0400
Resolution Enhancement Technology (RET) Manufacturing

Summary

This is the paper jointly presented by IMEC, Lam Research, and Siemens EDA at SPIE Advanced Lithography Conference 2024.


Details

KB Article ID# KB000130592_EN_US

Contents

SummaryDetails

Associated Components

Calibre SEMSuite Calibre RET/OPC Calibre EUV Calibre WORKbench