Calibre Calibre PEX Series - Episode 1: Setup and Debugging of Multi-Corner Runs Made Easy

2023-10-23T21:01:00.000-0400
Parasitic Extraction (PEX)

Summary

In this Calibre webinar recording, we will show you how to setup up Calibre xACT to perform simultaneous multi-corner extraction in which all the desired processes, multi-patterning, and temperature corners are extracted in a single run. You will also get valuable tips and tricks on how to debug your extracted results.


Details

image.png

Q&A  
 
Note: 
1. Thank you all for the questions during the webinar! Below is the transcript of the questions and answers.
2. If more support is needed for any of the issues you are facing, please open a support case at 
 https://support.sw.siemens.com/en-US/support-case/open


Q: How we can specify different corners in the rule file? Is there any statement or specific way to do that?
A: For running multi-corner extraction, first of all, the PEX XACT CORNER statement should exist in the rule file, and on the other hand we should include the different corner rules in the main rule file. You can refer to the related sources that can show you exactly how you can set up your rules file for multi-corner extraction batch runs.

Q: Is there any documentation or articles about the Multi-Patterning?
A: We have white papers that describe the theory of multi-patterning and how it works in detail. You can find it in the Support Center or with the following links:
Introduction to multi-patterning à https://resources.sw.siemens.com/en-US/white-paper-introduction-to-multi-patterning
Calibre Multi-Patterning à https://resources.sw.siemens.com/en-US/fact-sheet-calibre-multi-patterning

Q: How we can run multi-corner extraction in batch mode?
A: The requirement will be running LVS first and then running xACT as usual. The changes will be reflected primarily on the rule file, which includes adding appropriate PEX SVRF statements for multi-corner runs, along with including the different corner rules. We have an application note available at the support center where you can find the exact way of running multi-corner extraction in batch mode. You can find it in the Support Center or with the following link:
https://support.sw.siemens.com/en-US/product/852852053/knowledge-base/MG622225

Q: Do we still see different pex report names after the run, if we specify the pex report in the rule file with the % signs or does it only work with the GUI?
A: the variables used with the PEX NETLIST statement are internal Calibre variables. You can use them in your rules file to get the report names outputted in the same way as we showed in our demo, thus it will work either with the GUI or batch modes.

Q: Is there any difference in the setup for the multi-corner run between xACT and xACT3D?
A: No, there is no difference between the xACT and xACT3D direct netlisting when running multi-corner extraction. The rule file for xACT is compatible with running xACT3D and there is no difference in the setup. You just need to specify xACT3D instead of xACT in your GUI setup or use the -xact -3d switch in your batch invocations.

Q: Is there a similar opportunity like RLAYER for the capacitance?
A: Yes, there is a similar option for the capacitance called CLAYER. It reports the layer names associated with each pin of a parasitic coupling capacitor and the layer names associated with the non-grounded pin of a parasitic grounded capacitor as comment parameters in the netlist with the parasitic devices. But please note that this option is available only when performing -rcc parasitic extraction. And a side note, as we already mentioned during the webinar, the TICER reduction should be off, similarly when we were using the RLAYER option.

Q: Are there any other options for P2P calculations besides Pin to Pin?
A: In case If you are interested in p2p debugging through the RVE, you can use the drop-down menu which contains 3 options for calculating p2p resistance. In the webinar, we saw pin-to-pin calculations, but besides that, there are also, port-to-port or coordinate to coordinate calculations. Also, you can mix the options and choose to calculate the port to coordinate resistance.

Q: Is there a way to save the p2p results in a separate file?
A: There are several ways to save the p2p results in a separate file. In the RVE you can choose file and then Export Parasitics and from there choose the p2p resistance. Or from the GUI you can use the pex report p2p which reflects on the SVRF statement PEX REPORT POINT2POINT, and after the run, you will see the output report file which contains all the p2p results.

Q: I guess this is technology-dependent. Do you have a list of PDK/technology compatible with this Multi corner extraction?
A: Multi-corner extraction is supported in xACT/xACT3D direct netlisting. Hence, the flow works on all the technologies that are qualified on xACT.

Q: What is DP corner?
A: In the PEX XACT CORNER statement the DP corner is an optional keyword set used to specify which multi-patterning corners are netlisted. You can specify up to three multi-patterning corner names. Although DP typically stands for double patterning, this keyword set also supports multi-patterning.

Q: Which version of Calibre did Multiple Corner Extraction option appear?
Multi-corner extraction is supported in all the versions that support Calibre xACT.

Q: Can you use the older GUI to do Multiple Corner Extraction?
A: Yes, Multi-Corner extraction is also available in the old (Classic) GUI. The setup is the same for both GUIs as we showed during the webinar.

Q: Is the multi-corner run available in xRC/xACT3D, or it's only for xACT?
A: It is only supported in xACT and xACT3D direct netlisting.

Q: Does the analog reduction combine resistances and capacitors only, or does it short/remove small resistance/capacitors values also?
A: Analog reduction combines two reduction techniques, TICER reduction and PEX REDUCE CC.
TICER reduction activates electrically based reduction which compresses nets into electrically equivalent ones although the topology may be entirely different. That is, the reduced netlist may not map pin-for-pin or element-for-element to the original netlist. Hence, it does not preserve geometrical parameter values such as RLOCATION, RWIDTH, RLAYER, or CLOCATION.
PEX REDUCE CC on the other hand performs reduction of coupled capacitance on a net basis. If the total coupling capacitance between a pair of nets is less than the threshold, that coupling is applied as grounded capacitances to both nets. Hence, Analog reduction does NOT remove or short, small resistance/capacitors values.

Q: Is multi corner extraction enabled for xRC?
A: No. it is only supported in xACT and xACT3D direct enlisting. This is mostly because xRC is targeted and qualified on mature nodes.

Q: Can we select point to point resistance in Layout Viewer?
A: Yes, you can. If you choose coordinate-based p2p extraction from the drop-down menu, the following clickable icon will be shown , which will take you back to your layout viewer to choose the desired location on the net.

Q: What is the difference between xACT and xRC extraction flow?
A: This is a very generic question; however, I will try to tackle the few major differences.

xRC is a rule-based engine whereas xACT, on the other hand, is a hybrid engine that combines both a FieldSolver and a rule-based engine. xACT automatically selects the appropriate engine for front-end-of-line (FEOL) and back-end-of-line (BEOL) geometries to meet foundry and customer needs for accuracy, while still maintaining the throughput required to meet ever-shrinking time-to-market.

xRC is our target tool for mature nodes, mainly N22 and above. xACT is our target tool for advanced nodes, usually N16 and below, however, you should check with your foundry, which tool is qualified for the targeted tool.

With respect to what was presented in the Webinar, xRC does not support multi-corner extraction runs, however, it supports all the debugging capabilities that were shown, such as p2p calculations, net highlights per layer, and RLAYER/CLAYER options.

Q: Could you do a webinar for "How to do setup & run parasitic extraction from scratch for beginner level"?
A: Thanks for the feedback, we can consider having a future session for the basic setup for PEX flows, but for the time being you can refer to the following resources:
Validating rule-based parasitic extraction against a field solver solution à https://resources.sw.siemens.com/en-US/white-paper-validating-rule-based-parasitic-extraction-against-a-field-solver-solution
Calibre xRC à https://resources.sw.siemens.com/en-US/fact-sheet-calibre-xrc
Calibre xRC ODT à https://eda.learn.sw.siemens.com/training/courses/calibre-xrc-library
Calibre xACT à https://resources.sw.siemens.com/en-US/fact-sheet-calibre-xact
Calibre xACT 3D à https://resources.sw.siemens.com/en-US/fact-sheet-calibre-xact-3d
Calibre xACT/xACT 3D ODT à https://learn.sw.siemens.com/library/calibre-x-act-x-act-3-d-on-demand-training/1TBNe_YrI

Q: Can we run multi-corner extraction using xACT in batch mode instead of GUI? It will help to run multi-corner for multiple GDS.
A: Yes, there is a way to run multi-corner extraction in the batch mode and we have a KB article that describes in detail how to do that. You can find it in the Support Center or with the following link:
https://support.sw.siemens.com/en-US/product/852852053/knowledge-base/MG622225

Q: What's the Calibre version for corner PEX? 2023.3_xx.yy?
A: Multi-corner extraction is supported in all the versions that support Calibre xACT.
You can use older versions of Calibre xACT or recent ones, as the multi-corner extraction is available for both of them.

Q: How to set up multicore or multiprocessing? How to increase or allocate more memory for extraction?
A: Our PEX tools support multi-threading. The -turbo option can be used to specify the number of CPUs that you can use depending on the number of licenses that you have. you can refer to the administrator’s guide to know the number of licenses required for your desired CPU count.
The tail of your run’s log file should contain information about memory allocation, and runtime.

Q: There are very less webinars on PEX flows...it would be very useful & appreciable if you could do more webinars on PEX flows.
A: We are planning on making multiple Webinars that tackle different PEX flows. This is just Episode one of a PEX Series of Webinars.

Q: Will the same debugging technique work for xRC?
A: Yes, all the debugging techniques shown during the webinar are also working for the xRC. You can use the highlighting-by-layer method and show the resistance and capacitance of interests in the DRV for your further investigation or add appropriate keywords in the PEX netlist which will output more detailed information about the parasitics.

Q: Any plan to discontinue xRC?
A: No current plans. xRC is our target tool for mature nodes, mainly N22 and above. xACT is our target tool for advanced nodes, usually N16 and below, however, you should check with your foundry, which tool is qualified for the targeted tool.

Q: Is distributed job filing supported for large jobs for SMC?
A: Calibre PEX tools are compatible with multi-threading. In the Calibre Administrator Guide’s “Multithreaded Processing Modes Overview” section you can find the supported tools for the multi-threaded and MTFLEX runs. The '-turbo' option allows you to indicate the number of CPUs based on the available licenses, you can also specify the ‘-remote’ option along with ‘-turbo’ in the command line, but only for the phdb step.
Multithreaded Processing Modes à https://docs.sw.siemens.com/en-US/doc/852852053/202308034.calbr_admin_gd/idba9b9d53-da18-4155-afc1-7d4bd689f053
Q: Why CLAYER is not working in xRC/xACT3D, but only in xACT?
A: The CLAYER option is supported in xACT 3D direct netlisting. It has also been newly introduced in xRC starting 2023.4.

Q: Can we check resistance on a single net only from top metal to lower metal? In simple words resistance of the power grid faced from the top till the last metal of the device.
A: Yes, can do so since you can specify any pin/port/coordinate on the net of concern. However, you should note that layers that are part of xCELLs or that are blocked through PEX IGNORE RESISTANCE statements will not be accounted for in your p2p values.

Q: For TFT (Thin Film Transistor) applications which PEX flow is recommended to use?
A: In case this is a foundry node, you should consult with the foundry on the qualified tool. Otherwise, in case you are developing the PDK files for this technology, if the technology requires fieldsolver accuracy around your devices region, then we would recommend using Calibre xACT/xACT3D.

Q: A webinar on L extraction would be good
A: We will take this request under consideration when planning for future webinars.

Q: Please do more webinars on PEX flow topics---like how to setup basic PEX flow and how to run PEX flow.
A: Thanks for the feedback, we can consider having a future session for the basic setup for PEX flows, but for the time being you can refer to the following resources:
Validating rule-based parasitic extraction against a field solver solution à https://resources.sw.siemens.com/en-US/white-paper-validating-rule-based-parasitic-extraction-against-a-field-solver-solution
Calibre xRC à https://resources.sw.siemens.com/en-US/fact-sheet-calibre-xrc
Calibre xRC ODT à https://eda.learn.sw.siemens.com/training/courses/calibre-xrc-library
Calibre xACT à https://resources.sw.siemens.com/en-US/fact-sheet-calibre-xact
Calibre xACT 3D à https://resources.sw.siemens.com/en-US/fact-sheet-calibre-xact-3d
Calibre xACT/xACT 3D ODT à https://learn.sw.siemens.com/library/calibre-x-act-x-act-3-d-on-demand-training/1TBNe_YrI

KB Article ID# KB000122828_EN_US

Contents

SummaryDetails

Associated Components

Calibre Parasitic Extraction Calibre xACT 3D Calibre xACT