Calibre What are the Calibre xRC steps

2023-05-16T09:58:16.000-0400
Parasitic Extraction (PEX)

Summary

Calibre xRC has 3 steps: PHDB, PDB and Formatter.


Details

  1. PHDB
The Persistent Hierarchical Database, usually referred to as the PHDB, contains information about the design’s layout, connectivity, and devices necessary for calculating the parasitic information.
 
  • To use source names:
calibre lvs hier spice directory_path/filename.sp SVRF_file

For the output to be used in extraction, directory_path must match that specified in the Mask SVDB Directory statement, and the filename must be the cell name of the Layout Primary statement.
 
  • To use layout names:
calibre xrc phdb SVRF_file

The PHDB is only generated once per layout. There is no need to regenerate the PHDB unless the design is changed or the SVRF connectivity rules are modified.

Please find more information about the PHDB step in the Calibre xRC manual or with the following links:
              Step 1 – PHDB à https://docs.sw.siemens.com/en-US/doc/852852053/202206042.xrc_user/idc80aa3ef-328c-486b-b25b-e9ce89a59f28
                             PHDB à https://docs.sw.siemens.com/documentation/external/202206042/en-US/docs/htmldocs/xrc_user/topics/Concept_PersistentHierarchicalDatabasePhdb_idd3930048.html
 
  1. PDB
The PDB stores the parasitic models for each extracted net.
This step can be run multiple times without regenerating the PHDB.

                            calibre xrc pdb parasitic_switch SVRF_file

It creates the PDB directory in the SVDB directory.

The PDB stores the parasitic models for each extracted net.
These models consist of the net’s name and the collection of device pins, ports, parasitic delays, and circuit elements.

Please find more information about the PDB step in the Calibre xRC manual or with the following link:
Step 2 – PDB à https://docs.sw.siemens.com/en-US/doc/852852053/202206042.xrc_user/id48fca46b-fe85-4fab-bc97-a125eaaf6580
 
  1. Formatter (Output A Netlist)
As the last step, the tool produces a netlist and reports using the Calibre xRC formatter.
The netlists and reports are produced from the PDB contents.
Also, there is a possibility to set the formatter to perform different types of reductions to produce netlists that are more easily simulated.

calibre -xrc -fmt SVRF_file

Please find more information about the formatter step in the Calibre xRC manual or with the following links:
              Step 3 à https://docs.sw.siemens.com/en-US/doc/852852053/202206042.xrc_user/id597972d1-c2f2-452a-a3bb-fde1a515ce85
              calibre xrc fmt à https://docs.sw.siemens.com/documentation/external/202206042/en-US/docs/htmldocs/xrc_user/topics/Command_CalibreXrcFmt_iddce77a6a.html
 

KB Article ID# KB000111996_EN_US

Contents

SummaryDetails

Associated Components

Calibre Parasitic Extraction